DOWNLOAD Sharp UP-5700 (serv.man7) Service Manual ↓ Size: 3.08 MB | Pages: 127 in PDF or view online for FREE

Model
UP-5700 (serv.man7)
Pages
127
Size
3.08 MB
Type
PDF
Document
Service Manual
Brand
Device
EPOS / UP5700 Service Manual
File
up-5700-sm7.pdf
Date

Sharp UP-5700 (serv.man7) Service Manual ▷ View online

2
Display
Every time when Enter key is pressed, the next page error infor-
mation is displayed.
[Descriptions on the above screen]
Error No.  ————  Error information register No. (001 
)
(This is not an error code.)
YY/MM/DD ——— Year/Month/Day
HH:MM:SS ——— Hour/Minute/Second
Cylinder ———— Cylinder 
No.
Head No. ———— Head No.
Sec No. ————— Sector No.
Error Content  —— Error code is converted into error content
and displayed.
3
Terminating method
Press Esc key to return to the menu screen.
8) Controller check test
1
Check content
The diag command included in the hard disk is executed to per-
form hard disk controller check.
2
Display
If the section      
blinks and the pass count is counted up, the
test is OK.
When the space bar is pressed during the test, the test is inter-
rupted. 
When the space key is pressed during interruption of the test, the
test is resumed.
3
Terminating method
When Esc key is pressed during the test or test interruption, the
test is terminated and the display returns to the menu screen.
[Write mode test]
(Note) When the following test is executed, the HDD data are
destroyed. 
The display shown before executing write mode test
Before executing write mode test, "When executed, Data on hard
disk will be destroyed." is displayed.
Password entry is urged. Only when the correct password is en-
tered, the display goes to the next one.
The correct password is "sharp" or "SHARP" in 5 digits. When
typing the correct password, the content is not displayed but "
*
" is
displayed.
9) Seek & Write/Read-Verify Test
[Test conditions setting]
Similar to the above 4). Cylinder range setting is 000 
 inmost
cylinder 2.
1
Check content
For all the cylinder range and the sector range set in the above,
the worst pattern data is written sequentially for every one track.
Then, read/verify check is made for every one track.
The number of read/verify check is one.
(Test for 1 pass)
Write is made in the direction of 0 
 inmost cylinder.
Read/verify check is made in the direction of 0 
 inmost cylinder.
Write is made in the direction of inmost cylinder 
 0.
Read/verify check is made in the direction of inmost cylinder 
 0.
When writing data, write different data from the original stored
data.
Before writing or reading, the head is moved to the previous or the
following cylinder. 
(Head movement)
When track N is read, the head moves as follows. (The head arm
is deflected back and forth.)
In the direction of 0 
 inmost cylinder
Writing is made at 
2
.
Reading is made at 
2
 and 
4
.
In the direction of 0 
 inmost cylinder
Writing is made at 
2
.
Reading is made at 
2
. and 
4
.
Error Logging information Display
Error
No.
001
002
003
004
005
006
007
ESC : Exit ENTER : Next
YY/MM/DD
92 / 03 / 01
HH : MM : SS
10   :  30  : 00
Cyl
No.
100
Hed
No.
03
Sec
No.
01
Error
Content
XXXXXXX
Disk Dontroller Check test
 @[Errorstop Continue 1pass]
 @Test Start ? [Yes No]
          Pass count = XXXXX
          Controller  .....   Checking
ESC : Exit SPACE : Stop or Start
When executed, Data on hard disk will be destroyed.
 
          Password ?   [*****]
ESC : Exit
Note message
1
Next
2
3
4
N-1
N+1
N
0 cylinder
The previous
cylinder
Cylinder to be tested
The next
cylinder
Inmost
cylinder
S
N-1
N-1
S+1
S-1
N
0 cylinder
The previous
cylinder
Cylinder to be tested
The next
cylinder
Inmost 
cylinder
1
Next
2
3
4
4 – 19
(Worst pattern data)
There are two kinds of worst data: B6DBH and 6DB6.
In case of an error during the above test, retry is repeated up to
the set number of retry. Every time when an error occurs in retry
up to the set number of retry, error logging is made. Logging is
made for HD and DRAM.
When "Error Stop" is set in the test condition setting, if an error
occurs during the above test, the error display is shown and the
test is interrupted. Press the space key to resume the test.
When "Continue" is set, even if an error occurs, the error display
is made but the test is not stopped.
When "1 Pass" is set, a series of tests is made only once.
2
Display
Same as the previous (4). The following two points are different.
*
Cylinder range ?
[000 
 XXX] (XXX is inmost cylinder 2.)
*
Test mode:    is 
displayed.
When data writing, WRITE is displayed in    . When data
reading, READ is displayed.
3
Terminating method
Same as (4).
10) Target Sector Write/Read-verify Test
[Test conditions setting]
Similar to the previous 5). Cylinder range setting is 000 
 (Final
cylinder 2).
1
Check content
For the cylinder range, the head number, and the sector number
area set in the above, write/read/verify is made.
When write/read test is completed in the set range, it is counted
as 1 pass.
In case of an error during the above test, retry is repeated up to
the set number of retry. Every time when an error occurs in retry
up to the set number of retry, error logging is made. Logging is
made for HD and DRAM.
When "Error Stop" is set in the test condition setting, if an error
occurs during the above test, the error display is shown and the
test is interrupted. Press the space key to resume the test.
When "Continue" is set, even if an error occurs, the error display
is made but the test is not stopped.
When "1 Pass" is set, a series of tests is made only once.
2
Display
Same as the previous (6). The following two points are different.
*
Cylinder range ?
[000 
 XXX] (XXX is inmost cylinder 2.)
*
Test mode:    is 
displayed.
When data writing, WRITE is displayed in    . When data
reading, READ is displayed.
3
Terminating method
Same as 5).
11) HD Patch Test (Utility)
[Test conditions setting]
Similar to the previous 6). The cylinder range setting is 000 
 (Final
cylinder 2).
1
Check content
The sector set in the above is displayed on the screen in the unit
of 256byte.
Hex data and ASCII characters are displayed.
By key operation, the following 256 byte data or previous 256byte
data can be displayed.
After changing data on the screen, write the data to the selected
set position.
2
Display
Similar to the previous 6). Data in the HDD can be patched.
Patching is made as follows:
To patch data in the HD, change data on the screen.
(Move the cursor with 
, keys and enter data with 0 
 F
key.
Then press the key to select "Yes" in "Up data ? [Yes/No]" and
press Enter key.
(Move with 
 key.)
With the above procedure, patch is made.
3
Terminating method
Same as 6).
12) Error Logging Area Clear
1
Check content
The last cylinder area in the HD is cleared with 00H.
(Error logging area: last cylinder, all sectors of 0 head)
The areas to be cleared with 00H is the last cylinder and all the
sectors of 0 head.
2
Display
Select "Yes" at position of @ (move with 
 key) and press Enter
key to execute the test.
When the test is executed once, the mode enters the key waiting
mode.After executing the test, press the space key to execute
again.
3
Terminating method
Press Esc key to return to the menu screen.
13) Error table display
When an error occurs during the above test, error information is
stored in the DRAM and the content is displayed.
If there is no error, OK or NO ERROR is displayed.
14) Supplemental items
Error information is stored up to 44 items in the sequence of occur-
rence from when the function is selected. If the item number exceeds
44, the error information is not stored any more.
Error Logging Area Clear
 
 @Test Start ? [Yes No]
          
    ,     : Move ESC : Exit ENTER : Select
ESC : Exit SPACE : Retry
At first No is highlighted.
Guidance before execution of the test
Guidance after execution of the test
4 – 20
15) Error content
The following error content is error information directly obtained from
the HDD controller.
[Error code and meaning]
Error code
Error message
0
OK (This message is displayed when the test is
normally completed.)
1
Drive not ready (HDD is not ready. STATUS REG
bit 6 : 0)
2
Bad controller (HDD controller abnormality, diag
status error STATUS REG bits : 1 or DIAG STATUS
>= 2)
3
Track 000 Error (TRACK 000 cannot be found with
RESTORE command. ERROR REG bit 1 : 1)
4
Seek Error (A seek error occurs. After STATUS
COMMAND is executed, STATUS REG bit 4 : 0)
5
ID not Found (ID field is not detected. ERROR REG
bit 4 : 0)
6
Data Address Mark not Found (Data Address Mark
is not found. ERROR REG bit 0 : 1)
7
Bad Block Detect (BAD block mark is stored in the
ID field of request sector. ERROR REG bit 7 : 1)
8
Uncorrectable error (An uncorrectable read error
occurs. ERROR REG bit 6 : 1)
9
Others error (The other error STATUS REG bit 0 : 1,
and ERROR REG : 0)
10
Time out error (Time out occurs when making
access to HDD.)
11
Compare error (The written data and the read data
are not the same.)
16) Error information storing area
1
Error information storing area for diagnostics
1 sector 
 6 sector of 0 head of the last cylinder is used.
Used in the following format from the head of each sector.
(Error information format for every sector)
+
 46 
×
 11 = 507byte is used in one sector.
3-18. Fan & LCD ON/OFF Diagnostics
1) Fan & LCD ON/OFF Check
1
Check content
The CPU, the fan, the exhaust fan and the LCD are turned
ON/OFF.
When this menu is selected, the following display is shown.
When any key is pressed, "1" is written to bit 4 of PSC2 general
use I/O port HIOP At that time, the CPU fan and the exhaust fan
are stopped and the LCD and the backlight are turned off.
When any key is pressed under this state, if there is no key input
for 10 sec, the display automatically returns to the main menu.
When resetting, "0" is written to HIOP bit 4.
3-19. Power Hold Diagnastics
1) Power Hold Check
1
Check content
Two types of states such as power hold and power switch are
displayed.
Power Hold Diagnostics
Power Hold
:  ON (or OFF)
Power Switch :  ON (or OFF)
When pressing the space key, bit 5 of PSC2’s general I/O port
HIOP is inverted, and power hold is switched between ON and
OFF.
In addition, bit 1 of PSC2’s general port HIOP is read at every
200ms. Power Switch: OFF is displayed when this bit is "0", and
Power Switch: ON is displayed when this bit is "1".
BIN
BIN
BIN
BIN
BIN
BCD
BCD
BCD
BCD
BCD
BCD
[1byte 0~46]
2nd sector - 6th sector are the same.
Counter
Error
code
Cylinder
Head
Sector
Year
Month
Day
Hour
Minute Second
Last cylinder
Head of 0 head, 1 sector
(L)
(H)
BIN
FAN&LCD ON/OFF Diagnostics
 
    
                                   HIT ANY KEY
4 – 21
CHAPTER 5. CIRCUIT DESCRIPTION
1.
1-1. CPU
Pentium Processor:
A80502CSLM66133SY028
External Bus Interface:
66MHz/60MHz
L1 cache:
8K Code & 8K Data (Writeback) cache
64-Bit Data Bus
1-2. Chipset
FireStar ACPI:
82C700U2.2
PCI Bus:
DRAM controller
(FPM, EDO or SDRAM):
FPM or EDO supported
ISA Bus:
AT Clock = 8.33MHz/7.5MHz
Bus Mastering IDE:
Primary IDE supported, Not Secondary
Thermal Management:
Unified Memory Management (UMA):
DMA controller:
8237A 
×
 2
Interval Timer:
8254
Interrupt controller:
8259 
×
 2
1-3. PS/2 Keyboard Controller
KBC:
M38802M267
Full keyboard control
Mouse control (Not used)
Matrix Key control:
used  for  POS  Keyboard  (Normal/Flat)
control (Not used)
1-4. Graphic Controller
VGAC:
T65550B
PCI/VL/ISA Interface:
used for PCI Interface
32-Bit memory interface
EDO DRAM Support
LCD (800 
×
 600 
×
 24bpp, STN & TFT) control
APM
1-5. Super I/O Controller
M5113A2
FDC:
Disable
Serial Port:
16C550 compatible with Infrared 
×
 2
Parallel Port:
used for Parallel 1 (378h-37Fh)
1-6. Pos System Controller 2
PSC2:
LZ9AM22
BIOS ROM Bank Control:
Fixed 2banks
Mask ROM Bank Control:
Fixed 256banks
Flash ROM Bank Control: Max. 384banks
PS-RAM Bank Control:
Max. 192banks
UART 
×
 5:
Serial port 5ch
Clocked Serial I/O 
×
 2:
CKDC VII I/F, ER-A8DP I/F
Mode Switch Sense:
16bits (Not used)
Clerk Switch Sense:
16bits (UP-5700 supports 76 its clerks)
MCR I/F:
2track
Drawer I/F:
4drawers (UP-700 supports 2drawers.)
1-7. Memory
L2 cache:
None
System Memory:
DRAM Standard = 1M 
×
 16b EDO
Asym 60ns Vcc = 3.3V 
×
 4chip (8MB)
Option = 144pin S.O.DIMM socket
×
 1 (8MB/16MB/32MB/64MB[Fu-
ture])
BIOS ROM:
512K 
×
 8b (512KB) Flash ROM 
Vcc = 5.0V
DOS ROM:
2M 
×
 16b (4MB) Mask ROM 
Vcc = 5.0V
Flash ROM Disk:
Standard = 1M 
×
 16b (2MB) 
Vcc = 5.0V
Option = 2M 
×
 16b (4MB)
PS-RAM Disk:
Standard  =  512K 
×
 8b 
×
 2chip (1MB)
Vcc = 3.3V
Option = 512K 
×
 8b 
×
 4chip (2MB)
Video RAM:
256K 
×
 16b 
×
 2chip (1MB)
 Vcc = 3.3V
1-8. Vacuum Fluorescent
Display Unit:
M202MD11AB (used Pole display unit:
UP-P20DP)
VFD = 20 Digits 
×
 2 Lines, 5 
×
 7dots with: (Period & Comma) + 20
é
Microcontroller with Character Generator
RS-232C I/F (RXD/DSR/DTR, 4800/9600/19200/38400bps):
used for 9600bps
Power:
+ 5VDC / 1A
Auto indicator blinking:
When  power  is  turn  on,  automatically
blink the indicator of 1 st digit.
1-9. LCD
Color LCD:
10.4" TFT 640 
×
 480 
×
 256color (used
for UP-5700)
1-10. Analog Touch Panel
Controller:
N010-0559-V021
Serial (TTL, ASYNC)
(2400/4800/9600bps):
used for 9600bps
Resolution:
1024 
×
 1024
1-11. System Switch
DIP Switch:
6circuits
Jumper Switch:
2circuits
1-12. COM Ports
COM1 (for serial device/Handy scanner):
Ci /+ 5V possibility
DSUB9 with FIFO (by Super I/O)
COM2 (for serial device/Handy scanner):
Ci /+ 5V possibility
DSUB9 with FIFO (by Super I/O)
5 – 1
Page of 127
Display

Click on the first or last page to see other UP-5700 (serv.man7) service manuals if exist.